您现在的位置是:首页 > 源码 > Verilog实现的数字滤波器
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

Verilog实现的数字滤波器

更新时间:2012-04-16 19:30:36 大小:1M 上传用户:jiaodong1987查看TA发布的资源 标签:Verilog实现 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(1) 举报

资料介绍

利用硬件描述语言verilog完成数字滤波器的设计,并通过仿真实现,可以参考

部分文件列表

文件名文件大小修改时间
Verilog实现的数字滤波器/Verilog实现的数字滤波器1980KB2007-10-16 05:45:44
Verilog实现的数字滤波器1KB2008-05-06 08:36:48

全部评论(1)

  • 2017-04-09 18:50:32zzuivan

    这个直接用quarter那个平台直接点开么?