推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

利用FPGA驱动LCD显示中文字符的VHDL程序

更新时间:2019-10-18 15:29:58 大小:2M 上传用户:lalahug查看TA发布的资源 标签:fpga驱动lcd 下载积分:9分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

利用FPGA驱动LCD显示中文字符的VHDL程序

image.png

部分文件列表

文件名大小
copy_DDS/
copy_DDS/aa.bsf2KB
copy_DDS/aa.vhd1KB
copy_DDS/aa.vhd.bak1KB
copy_DDS/adder32.bdf
copy_DDS/altpll0.bsf3KB
copy_DDS/altpll0.cmp1KB
copy_DDS/altpll0.ppf
copy_DDS/altpll0.qip1KB
copy_DDS/altpll0.vhd
copy_DDS/altpll0_inst.vhd
...

全部评论(0)

暂无评论