推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

一个简单的FPGA推箱子游戏 DE1-SOC平台 纯verilog实现 VGA显示 功能验证OK

更新时间:2017-04-01 16:19:55 大小:40M 上传用户:hanjunma111查看TA发布的资源 标签:fpga箱子游戏de1显示平台 下载积分:9分 评价赚积分 (如何评价?) 收藏 评论(1) 举报

资料介绍

内附工程word说明 白色代表目标位置,红色代表障碍物,黄色代表箱子,紫色代表推箱者,其中箱子、障碍物和推箱者会用32*30的图片代替,存放在ROM中。游戏开始具有初始画面,游戏设置开始按键,按下开始按键以后进入游戏并启动60s倒计时,在LED数码管上显示,游戏者在60s内将4个箱子全部推放到指定位置则过关,弹出成功画面,否则游戏失败,弹出失败画面。

部分文件列表

文件名文件大小修改时间
FPGA推箱子游戏/barrier.bmp3KB2016-03-30 22:51:48
FPGA推箱子游戏/barrier.mif12KB2016-03-30 22:52:10
FPGA推箱子游戏/box.bmp3KB2016-03-30 22:28:38
FPGA推箱子游戏/box.mif12KB2016-03-30 22:29:12
FPGA推箱子游戏/FPGA推箱子游戏项目概述.docx21KB2016-03-30 21:33:58
FPGA推箱子游戏/init.bmp9KB2016-04-02 14:59:38
FPGA推箱子游戏/init.mif271KB2016-04-02 16:18:22
FPGA推箱子游戏/lose.bmp9KB2016-04-02 15:02:14
FPGA推箱子游戏/lose.mif271KB2016-04-02 16:39:08
FPGA推箱子游戏/Mario.mif12KB2016-03-25 23:38:20
FPGA推箱子游戏/win.bmp9KB2016-04-02 15:00:58
...

全部评论(1)

  • 2019-07-11 11:18:54走小路

    为什么连接到电脑上没有反应啊