您现在的位置是:首页 > 教程 > Vivado2017安装说明
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

Vivado2017安装说明

更新时间:2019-12-25 13:37:30 大小:746K 上传用户:zhikun99查看TA发布的资源 标签:vivado 下载积分:2分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

2017版软件安装说明,lisence和软件请在群里找相应的资源

部分文件列表

文件名 大小
Xilinx_Vivado_2017安装说明(学生Webpack版)v10.pdf 746K

部分页面预览

(完整内容请下载后查看)
Xilinx Vivado 2017.1 安装说WebPACK 版)  
Xilinx 大学计划合作伙伴-依元素科技  
依元素科技有限公司  
Xilinx 已经开放了 Vivado 2017.1 的下载,新版本的 Vivado 界面有了比较大的变化,也  
增加了非常多新的功能特性。  
其安装流程与之前没有大的差别,这里以 Vivado2017.1 WebPACK 版本为例,来介绍  
Vivado 2017.1 的安装过程。  
首先安装环境要求必须是 64 位的机器,操作系统支持:  
Microsoft Windows Support  
Windows 7 SP1 Professional (64-bit), English/Japanese.  
Windows 10 Professional Anniversary Edition (64-bit), English/Japanese.  
Linux Support  
Red Hat Enterprise Workstation/Server 7.2 and 7.3 (64-bit)  
Red Hat Enterprise Workstation 6.6, 6.7, and 6.8 (64-bit)  
SUSE Linux Enterprise 11.4 and 12.2 (64-bit)  
Cent OS 7.2 and 7.3 (64-bit)  
Cent OS 6.7 and 6.8 (64-bit)  
Ubuntu Linux 16.04.1 LTS (64-bit)  
Vivado WebPACK 版本虽然是免费版本是除 System Generator for DSP PR 之外所有  
Vivado 的功能都可以使用可以支持除 Virtex 以外的大部分主流器件且在 Vivado 2016  
以后,WebPACK 版本的安装不再需要 LICENSE,所以特别适合 Xilinx FPGA 初学者以及  
学生使用。  
另外高校教师也可以向 Xilinx 大学计划免费申请正式全功能版的 Vivado 工具有需要  
可与国内大学计划代理——依元素科技有限公司联系。  
安装流程:  
1、下载安装包后解压运行 xsetup.exe 文件,在弹出的欢迎向导里面点击下一步;  
依元素科技有限公司  
1
Xilinx 大学计划合作伙伴  

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载