推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

出租车计程器的VHDL设计代码

更新时间:2020-07-06 18:19:52 大小:6K 上传用户:年轻的国王查看TA发布的资源 标签:出租车计程器vhdl 下载积分:2分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

出租车计程器的VHDL设计代码,适合感兴趣的学习者学习.

部分文件列表

文件名文件大小修改时间
VHDL程序/control_display.vhd2KB2004-12-24 04:24:54
VHDL程序/decoder.vhd1KB2004-12-23 23:36:54
VHDL程序/div_10.vhd1KB2004-11-22 15:57:34
VHDL程序/div_50.vhd1KB2004-11-22 15:26:50
VHDL程序/dvif.vhd1KB2004-12-23 23:13:44
VHDL程序/measure.vhd6KB2004-12-24 04:24:58
VHDL程序/texi_all.vhd3KB2005-04-14 23:54:36
VHDL程序/valid_signal.vhd1KB2005-04-09 17:26:56
VHDL程序/vsall.vhd1KB2005-04-09 17:26:56
VHDL程序1KB2009-12-30 12:41:56

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载