推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

VHDL程序设计 课程设计 FPGA很有价值的27实例

更新时间:2020-06-30 15:50:13 大小:1M 上传用户:xzxbybd查看TA发布的资源 标签:vhdlfpga 下载积分:6分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

VHDL程序设计 课程设计 FPGA很有价值的27实例


电子时钟VHDL程序与仿真

1. 10进制计数器设计与仿真

110进制计数器VHDL程序

--文件名:counter10.vhd

--功能:10进制计数器,有进位C

--最后修改日期:2004.3.20

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity counter10 is

    Port ( clk : in std_logic;

          reset : in std_logic;

          din : in std_logic_vector(3 downto 0);

          dout : out std_logic_vector(3 downto 0);

                c:out std_logic);

end counter10;

architecture Behavioral of counter10 is

   signal count : std_logic_vector(3 downto 0);

begin

    dout <= count;

       process(clk,reset,din)

       begin

          if reset='0'then

               count <= din ;

               c<='0';

       elsif rising_edge(clk) then

                 if count = "1001" then

                        count <= "0000";

                        c<='1';

           else

                        count <= count+1;

                        c<='0';

           end if;

      end if;

    end process;

end Behavioral;


部分文件列表

文件名大小
FSK调制与解调VHDL程序及仿真.doc
8.11 PSK调制与解调VHDL程序及仿真.doc
8.12 MASK调制VHDL程序及仿真.doc
8.13 MFSK调制VHDL程序及仿真.doc
8.14 MPSK调制与解调VHDL程序与仿真.doc
8.15 基带码发生器程序设计与仿真.doc
8.16 频率计程序设计与仿真.doc
8.17 采用等精度测频原理的频率计程序与仿真.doc
8.18 电子琴程序设计与仿真 2004.8修改.doc
8.18 电子琴程序设计与仿真.doc
8.19 电梯控制器程序设计与仿真.doc
...

全部评论(0)

暂无评论