推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

图像传感器数字控制模块,Verilog编写,内涵ADC接口,FPGA验证通过

更新时间:2019-10-17 19:46:03 大小:14K 上传用户:zyf901126查看TA发布的资源 标签:图像传感器verilogadc接口fpga 下载积分:5分 评价赚积分 (如何评价?) 收藏 评论(1) 举报

资料介绍

图像传感器数字控制模块,Verilog编写,内涵ADC接口,FPGA验证通过

image.png

部分文件列表

文件名文件大小修改时间
source_file/ADC_Ctrl.v8KB2012-06-04 18:41:14
source_file/Half_Pixel_Out_Regfile_256x256.v8KB2012-06-13 17:56:16
source_file/Multi_Row_Pulse_Gen.v3KB2012-06-13 21:30:30
source_file/Param_Regfile.v13KB2012-06-11 09:36:40
source_file/Same_Row_Pulse_Gen.v2KB2012-06-13 21:30:28
source_file/Sensor_Ctrl_Core.v9KB2012-06-13 16:39:32
source_file/Single_Line_Row_Pulse_Gen.v2KB2012-06-13 16:39:10
source_file/Single_Row_Pulse_Gen.v3KB2012-06-13 21:30:30
source_file/ZYF_Sensor_Ctrl_256x256.v17KB2012-06-16 11:46:02
source_file1KB2012-06-17 09:41:34

全部评论(1)