您现在的位置是:首页 > 源码 > 实现对SRAM的读写的实验
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

实现对SRAM的读写的实验

更新时间:2020-08-31 10:40:09 大小:330K 上传用户:年轻的国王查看TA发布的资源 标签:sram 下载积分:0分 评价赚积分 (如何评价?) 收藏 评论(1) 举报

资料介绍

实现对SRAM的读写.适合新手学习参考

部分文件列表

文件名文件大小修改时间
SRAM_WR/db/logic_util_heursitic.dat5KB2010-11-05 17:14:40
SRAM_WR/db/prev_cmp_sram_wr.asm.qmsg2KB2010-11-05 17:14:22
SRAM_WR/db/prev_cmp_sram_wr.fit.qmsg62KB2010-11-05 17:14:22
SRAM_WR/db/prev_cmp_sram_wr.map.qmsg20KB2010-11-05 17:14:22
SRAM_WR/db/prev_cmp_sram_wr.qmsg135KB2010-11-05 17:14:22
SRAM_WR/db/prev_cmp_sram_wr.tan.qmsg51KB2010-11-05 17:14:22
SRAM_WR/db/sram_wr.(0).cnf.cdb4KB2010-11-05 17:14:30
SRAM_WR/db/sram_wr.(0).cnf.hdb2KB2010-11-05 17:14:30
SRAM_WR/db/sram_wr.asm.qmsg2KB2010-11-05 17:14:56
SRAM_WR/db/sram_wr.asm.rdb1KB2010-11-05 17:14:56
SRAM_WR/db/sram_wr.asm_labs.ddb12KB2010-11-05 17:14:54
...

全部评论(1)

  • 2022-12-13 21:15:53杨义

    内容还行