推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

利用QuartusII软件和原理图输入法设计八位加法器

更新时间:2019-08-21 08:53:29 大小:3M 上传用户:sun2152查看TA发布的资源 标签:quartusii加法器 下载积分:1分 评价赚积分 (如何评价?) 收藏 评论(1) 举报

资料介绍

Quartus II是最高级和复杂的,用于system-on-a-programmable-chip(SOPC)的设计环境。

Quartus II提供完善的timing closure和LogicLock基于块的设计流程。QuartusII design是唯

—一个包括以timing closure和基于块的设计流为基本特征的 programmable logic device

(PLD)的软件。QuartusIⅡ设计软件改进了性能、提升了功能性、解决了潜在的设计延迟等,在工业领域率先提供FPGA与mask-programmed devices开发的统一工作流程。

本文介绍了微机上的QuartusIⅡ软件系统的使用,并用该软件分别设计半加器,全加器,并编译连接设计一个8位加法器的过程。

关键词:8位加法器;EDA(电子设计自动化);QuartuslⅡ(可编程逻辑软件)

EDA是电子设计白动化(Electronic Design Automation)的缩写,从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异,所以目前尚无一个确切的定义。但从EDA技术的几个主要方面的内容来看,可以理解为EDA技术就是以计算机为工具,设计者在EDA软件平台上,以硬件描述语言为系统逻辑描述的主要表达方式完成设计文件,然后由计算机自动地完成逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化,逻辑布局布线、逻辑仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度明。


部分文件列表

文件名 大小
利用QuartusII软件和原理图输入法设计八位加法器.pdf 3M

部分页面预览

(完整内容请下载后查看)

全部评论(1)

  • 2020-05-30 11:15:05阿莱布里

    21ic真的可以找到好多资料