推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

VHDL程序实现数码管动态及静态显示逻辑

更新时间:2020-07-01 20:38:44 大小:34K 上传用户:xzxbybd查看TA发布的资源 标签:vhdl 下载积分:2分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

VHDL程序实现数码管动态及静态显示逻辑


本节分别介绍采用FPGALED进行静态和动态显示的数字时钟控制程序。

1. 1FPGA驱动LED静态显示

--文件名:decoder.vhd

--功能:译码输出模块,LED为共阳接法。

--最后修改日期:2004.3.24

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity decoder is

Port (seg:in std_logic_vector(3 downto 0 );   --四位二进制码输入

q3:out std_logic_vector(6 downto 0) );  --输出LED七段码

end decoder;

 

architecture Behavioral of decoder is

begin

process(seg)

begin

case seg is

when "0000" => q3<="0000001";--0  

when "0001" => q3<="1001111";--1

when "0010" => q3<="0010010";--2

when "0011" => q3<="0000110";--3

when "0100" => q3<="1001100" --4

when "0101" => q3<="0100100";--5

when "0110" => q3<="0100000";--6

when "0111" => q3<="0001111";--7

when "1000" => q3<="0000000";--8

when "1001" => q3<="0000100";--9

when others => q3<="1111111";

end case;

end process;

end Behavioral;


部分文件列表

文件名 大小
LED控制VHDL程序与仿真.doc 34K

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载