推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

FIFO full_adder SPI接口 分頻器等9个VHDL设计源码Quartus工程文件

更新时间:2021-08-25 13:34:56 大小:2M 上传用户:xzxbybd查看TA发布的资源 标签:spi接口vhdlquartus 下载积分:9分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

FIFO full_adder SPI接口 分頻器等9个VHDL设计源码Quartus工程文件, Quartus软件版本9.0,可以做为你的学习设计参考。

library IEEE;

use IEEE.std_logic_1164.all;

use IEEE.std_logic_unsigned.all;

use IEEE.std_logic_arith.all;

entity spi_in is

port(

     sck_in:in std_logic;

     mosi:in std_logic;--收

     miso:out std_logic;--发

     data_out:out std_logic_vector(7 downto 0)

);

end spi_in;

architecture spi_behave of spi_in is

signal gain_data:std_logic_vector(7 downto 0);

signal num:integer range 0 to 9;

begin 

   process(sck_in)

      begin 

  if(sck_in'event and sck_in='1')then 

if(num=9)then 

  num<=0;

else 

  num<=num+1;

end if;

  end if;

  if(num>0 and num<9)then 

  gain_data(9-num)<=mosi;

  else

  data_out<=gain_data;

  end if;

   end process;

 end spi_behave;

             

             

image.pngimage.png

部分文件列表

文件名大小
4选1选择器/
4选1选择器/mux4/
4选1选择器/mux4/db/
4选1选择器/mux4/db/mux4.(0).cnf.cdb1KB
4选1选择器/mux4/db/mux4.(0).cnf.hdb1KB
4选1选择器/mux4/db/mux4.asm.qmsg2KB
4选1选择器/mux4/db/mux4.cbx.xml
4选1选择器/mux4/db/mux4.cmp.ecobp
4选1选择器/mux4/db/mux4.cmp.kpt
4选1选择器/mux4/db/mux4.cmp.rdb4KB
4选1选择器/mux4/db/mux4.cmp0.ddb
...

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载