推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

可编程PRBS Generator and Check,包含源码和手册

更新时间:2020-05-25 10:54:32 大小:19K 上传用户:qczq查看TA发布的资源 标签:PRBS 下载积分:2分 评价赚积分 (如何评价?) 打赏 收藏 评论(1) 举报

资料介绍

Xilinx原厂的可编程PRBS Generator and Check,包含源码和手册

部分文件列表

文件名大小
xapp884/readme.txt6KB
xapp884/verilog/
xapp884/verilog/implement/
xapp884/verilog/implement/implement.bat1KB
xapp884/verilog/implement/implement.sh1KB
xapp884/verilog/implement/prbs_top.prj
xapp884/verilog/implement/prbs_top.scr
xapp884/verilog/implement/prbs_top.ucf
xapp884/verilog/simulation/
xapp884/verilog/simulation/functional/
xapp884/verilog/simulation/functional/pre_simul_mti.do1KB
...

全部评论(1)

  • 2021-03-16 00:16:05runsan

    有用。

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载