推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

基于FPGA和单片机的DDS信号发生器设计

更新时间:2020-02-23 11:22:09 大小:4M 上传用户:zhiyao6查看TA发布的资源 标签:fpga单片机dds信号发生器 下载积分:3分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

在现代测试领域中,信号发生器是应用最普遍、最基本、也是最为广泛的电子仪器之一,在电子设备的调试、维修等过程中,在学生的试验、实习中,经常用到信号源。因此,精度高、稳定性好、成本低、功能多样的信号发生器的研究显得非常重要。

  DDS是直接数字式频率合成器的英文缩写。与传统的频率合成器相比,由于具有低成本、低功耗、高分辨率和快速转换时间等优点,DDS广泛使用在电信与电子仪器领域,成为实现设备全数字化的一个关键技术。现场可编程门阵列(FPGA)由于具有计算速度快、集成度高、应用灵活、可实现大容量存储器等功能,广泛应用在数字专用集成电路的设计中。FPGA能有效地实现DDS技术,降低生产成本,提高信号源的性能,代表未来的发展方向。目前信号发生器的设计技术主要有:直接合成法、间接合成法和直接数字频率合成法(DDS)。

  本设计应用DDS原理,在FPGA上实现一个DDS信号发生器的功能,硬件电路由电源电路、按键显示电路、AT89S52单片系统、现场可编程门阵列FPGA、高速D/A转换等部分组成。数字形式的波形数据由现场可编程门阵列(FPGA)查表输出,再经高速数模转换得到模拟的波形。该信号发生器能精确调节波形的相位和频率,输出高分辨率的波形,并最终实现数字显示。设计能够输出带移相的两路正弦信号,其波形稳定,可设置频率,用示波器观察时几乎无失真。

部分文件列表

文件名 大小
基于FPGA和单片机的DDS信号发生器设计.pdf 4M

全部评论(0)

暂无评论