您现在的位置是:首页 > 源码 > verilog Flash读写模块
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

verilog Flash读写模块

更新时间:2023-06-19 15:20:14 大小:7K 上传用户:yyflyer查看TA发布的资源 标签:verilogFlashspi 下载积分:3分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

verilog代码,实现FPGA对Flash的读写操作,可实现参数读写,以及FPGA的远程更新

部分文件列表

文件名大小
flash/flash_top.v
flash/spi_singal_top.v

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载