推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

Xilinx-ISE和ModelSim的联合使用方法

更新时间:2019-01-02 23:04:40 大小:533K 上传用户:sun2152查看TA发布的资源 标签:Xilinx-ISEmodelsim 下载积分:1分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

ModelSim分几种不同的版本:SE、PE、LE和OEM,其中SE是最高级的版本而集成在 Actel、Atmel、Altera、Xilinx以及Lattice等FPGA厂商设计工具中的均是其OEM版本。我们现在主要使用的是ModelSim 6.0 SE版,所以本章的软件安装、仿真库的编译、仿真工程设置、仿真方法等的介绍全部是基于ModelSim 6.0 SE版来介绍的。

Xilinx的仿真库编译有三种方法,一是使用compxlib命令配合相应的参数,在ise环境下的tcl shell窗口中使用脚本编译Xilinx的仿真库;另一种方法是,直接输入compxlib命令后回车,直接启动Xilinx的simulation library compilation wizard,然后在GUI界面下进行仿真库的编译;最后一种方法参考下述附件。在本章主要介绍Xilinx的仿真库编译向导,因这种方法比较简单。

部分文件列表

文件名 大小
Xilinx-ISE和ModelSim的联合使用方法.pdf 533K

全部评论(0)

暂无评论