推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

SystemVerilog里面向对象的基本知识点

更新时间:2019-10-22 21:15:25 大小:37K 上传用户:xuzhen1查看TA发布的资源 标签:systemverilog 下载积分:1分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

一、OO术语

1.class类:包含变量和子程序的基本构建块。

2.对象:类的一个实例。

3.句柄:指向对象的指针,一个O0向柄就像对象的地址,但是它只能保存在一个只能指向单一类型数据类型的指针中。

4.属性:存贮数据的变量。

5.方法:任务和函数

二、创建新对象

1.区别Verilog 和SV

(1)Verilog中的一个模块是在代码编译的时候例化的。SV中是运行测试平台时需要的时候才被建立的。

(2)Verilog的例化是静态的,像硬件一样,只有信号值得变化。

SV激励对象不断地被创建并且驱动DUT最后这些对象所占用的内存可以被释放供新对象使用。

(3)Verilog的顶层模块不会被显示的例化,但是SV类在使用之前必须要先例化。


部分文件列表

文件名 大小
SystemVerilog里面向对象的基本知识点.pdf 37K

部分页面预览

(完整内容请下载后查看)
面向对象编程 OOP  
OOP术语  
1.class 类:包含变量和子程序的基本构建块。  
2. 对象:类的一个实例。  
3. 句柄:指向对象的指针,一个 OOP句柄就像对象的地址,但是它只  
能保存在一个只能指向单一类型数据类型的指针中。  
4. 属性:存贮数据的变量。  
5. 方法:任务和函数  
二、创建新对象  
1. 区别 Verilog SV:  
1Verilog 中的一个模块是在代码编译的时候例化的。 SV中是运  
行测试平台时需要的时候才被建立的。  
2Verilog 的例化是静态的,像硬件一样,只有信号值得变化。  
SV激励对象不断地被创建并且驱动 DUT后这些对象所占用的内存  
可以被释放供新对象使用。  
3Verilog 的顶层模块不会被显示的例化,但是 SV类在使用之前  
必须要先例化。  
4Verilog 实例名只可以指向一个实例。而 SV句柄可以指向很多  
对象,当然一次只能指向一个。  
2.  
构造函数 new():例化一个对象时,相当于为对象申请一个新的内  
存来保存对象的变量。  

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载