您现在的位置是:首页 > 源码 > pipeline vhdl
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

pipeline vhdl

更新时间:2011-12-03 05:42:32 大小:84K 上传用户:seblxb查看TA发布的资源 标签:pipelinevhdl 下载积分:2分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

一个pipeline的project 有关fpga的基本pipeline的原理和编写

部分文件列表

文件名文件大小修改时间
lab4/dual_port_ram.vhd1KB2010-10-12 10:41:16
lab4/glue_logic.vhd4KB2010-10-12 10:44:00
lab4/lab4.ise182KB2011-10-29 22:44:14
lab4/lab4.restore53KB2011-10-29 22:44:14
lab4/pipeline_h101.vhd2KB2010-10-12 10:47:44
lab4/pipeline_h101_summary.html3KB2011-10-29 22:37:24
lab4/tb.vhd4KB2010-10-12 10:42:34
lab4/user_pkg.vhd1KB2010-10-12 10:41:16
lab4/U_ADDR_IN.vhd1KB2011-10-17 00:36:36
lab4/U_ADDR_OUT.vhd1KB2011-10-17 00:37:10
lab4/U_Controller.vhd1KB2011-10-17 00:37:50
...

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载