您现在的位置是:首页 > 源码 > verilog实现I2C主程序
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

verilog实现I2C主程序

更新时间:2015-01-13 15:53:06 大小:2K 上传用户:zhuludiqing查看TA发布的资源 标签:IICI2Cverilog 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

verilog语言实现IIC通信,适用16bit数据的读取,也可以用于8bit数据的读取,但需要自行更改参数,程序中已注释。

部分文件列表

文件名文件大小修改时间
IIC_maste.v7KB2015-01-13 16:02:46
SCL.v1KB2014-12-09 09:56:06

全部评论(0)

暂无评论