推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

正负脉宽调制信号发生器设计

更新时间:2013-08-27 12:07:45 大小:1K 上传用户:WillRious查看TA发布的资源 标签:正负信号发生器脉宽调制 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

正负脉宽数控就是直接输入脉冲信号的正脉宽数和负脉宽数,当正负脉宽数一旦定下来,脉冲波的周期也就确定下来了。其次是调制信号,调制信号有很多种,有频率调制、相位调制、幅度调制等等,本实验中仅对输出的波形进行最简单的数字调制,另外为了EDA设计的灵活性,实验中要求可以输出非调制波形、正脉冲调制和负脉冲调制。非调制波形就是原始的脉冲波形;正脉冲调制就是在脉冲波输出‘1’的期间用输出另一个频率的方波,而在脉冲波为‘0’器件还是原始波形;负脉冲调制正好与正脉冲调制相反,要求在脉冲波输出为‘0’期间输出另外一个频率的方波,而在‘1’期间则输出原始波形。为了简化实验,此处的调制波形(另外一个频率的方波)就用原始的时钟信号。

部分文件列表

文件名大小
exp15.vhd3KB

全部评论(0)

暂无评论