上传资源列表
-
SSOP24封装AC4603蓝牙方案原理图
大小:217K 更新时间:2019-10-26 下载积分:1分
SSOP28封装AC4602蓝牙方案标准原理图AC4603功能如下:1.AC4603支持蓝牙功能;2.AC4603支持USB、SD/MMC卡、TF卡播放:3.AC4603按键支持:1开关机键、2音量(+)键/下一曲键、3音量(-)键/上一曲键、4播放暂停键/模式...
-
AMS1117使用须知
大小:216K 更新时间:2019-10-26 下载积分:0分
1.VIN最大可达18V2.VIN与VOUT的压差在2V以上3.C2用电容大于10uF的电解电容即可4.输入端和输出端不并联电容亦可
-
Keil环境中建立带FreeRTOS的STM32L项目.
大小:216K 更新时间:2019-10-26 下载积分:0分
Keil环境中建立带FreeRTOS的STM32L项目1、先把source文件夹复制至project目录,然后在keil中添加RTOS文件,如图:其中heap_2.c按需选择,可以是heap_1.c等,若需 croutine型任务则还需添加croutine.c文件。2、添加in...
-
数码管扫描设计VHDL源代码
大小:204K 更新时间:2019-10-26 下载积分:0分
代码:library ieee;use icee.std logic 1164.all;use ieee.std logic arith.all;use ieee.std_logic_unsigned.all;entity haut is port(clk,rst:in std logic;aux:out std_logic_vector(6 downto 0);cat:...
-
SPI实现串行通信
大小:198K 更新时间:2019-10-26 下载积分:0分
一、SPI结构增强型串行外设接口(SPI0)提供访问一个全双工同步串行总线的能力。SP10可以作为主器件或从器件工作,可以使用3线或4线方式,并可在同一总线上支持多个主器件和从器件。从选择信号(NSS可被配置为输入以...