推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

数码管扫描设计VHDL源代码

更新时间:2019-10-26 23:49:06 大小:204K 上传用户:xuzhen1查看TA发布的资源 标签:数码管扫描vhdl 下载积分:0分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

代码:

library ieee;use icee.std logic 1164.all;use ieee.std logic arith.all;use ieee.std_logic_unsigned.all;entity haut is port(

clk,rst:in std logic;aux:out std_logic_vector(6 downto 0);cat:out std_logic_vector(5 downto 0)

);end haut;architecture bon of haut is signal premiere:std_logic_vector(2 downto 0);signal num:std_logic_vector(6 downto 0);begin process(clk,rst)

begin if(rst='1)then premiere<="111";num<="0000000";elsiftclk'event and clk='1)then


部分文件列表

文件名 大小
数码管扫描设计VHDL源代码.pdf 204K

部分页面预览

(完整内容请下载后查看)

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载