-
STC15W4K32S4 单片机程序--配套数码管LED模块-8位数码管动态扫描
大小:30K 更新时间:2024-12-02 下载积分:2分 上传用户:liqiang9090
STC15W4K32S4 单片机程序--配套数码管LED模块-8位数码管动态扫描STC15W4K32S4 单片机程序--配套数码管LED模块-8位数码管动态扫描
-
7个IO驱动7位数码管(程序+LED图纸)
大小:72K 更新时间:2023-12-19 下载积分:9分 上传用户:leikang
压缩包包含图纸、程序; 7位IO驱动7位数码管,节省MCU资源,以最少的IO口驱动数码管。
-
3 数码管动态扫描 仿真加程序
大小:29K 更新时间:2023-10-31 下载积分:1分 上传用户:zhengdai
3 数码管动态扫描,仿真加程序 非常有价值的资料,欢迎下载,一定会对您有帮助!
-
C51 单个74HC595动态扫描1位数码管
大小:10K 更新时间:2023-09-30 下载积分:4分 上传用户:zhengdai
C51 单个74HC595动态扫描1位数码管 非常有价值的资料,欢迎下载,一定会对您有帮助!
-
C51 单个74HC595动态扫描4位数码管
大小:24K 更新时间:2023-06-19 下载积分:4分 上传用户:zhengdai
C51 单个74HC595动态扫描4位数码管 非常有价值的资料,欢迎下载,一定会对您有帮助!
-
飞思卡尔MC9S12XS128初学者例程-44-数码管扫描
大小:379K 更新时间:2023-04-27 下载积分:2分 上传用户:yang_alex
如果你向往汽车电子,可以学习飞思卡尔MC9S12XS128 16位单片机。 MC9S12XS128是Freescale公司的16位单片机,由16位中央处理单元(CPU12X)、128KB程序Flash(P-lash)、8KB RAM、8KB数据Flash(D-lash)组成片内存储...
-
基于LY-51S 单片机的8位数码管动态扫描原理演示源程序
大小:9K 更新时间:2022-10-18 下载积分:2分 上传用户:1111111ffgg
基于LY-51S 单片机的8位数码管动态扫描原理演示源程序
-
数码管扫描设计VHDL源代码
大小:204K 更新时间:2019-10-26 下载积分:0分 上传用户:xuzhen1
代码:library ieee;use icee.std logic 1164.all;use ieee.std logic arith.all;use ieee.std_logic_unsigned.all;entity haut is port(clk,rst:in std logic;aux:out std_logic_vector(6 downto 0);cat:...
-
51单片机的数码管动态扫描源代码。包括Keil工程,Proteus仿真原理图.rar
大小:42K 更新时间:2019-10-11 下载积分:9分 上传用户:zyf901126
51单片机的数码管动态扫描源代码。包括Keil工程,Proteus仿真原理图.rar
-
-
-
-
-
zlg72128数码管驱动管理芯片
大小:2M 更新时间:2016-12-08 下载积分:2分 上传用户:周立功单片机
ZLG72128数码管显示驱动键盘扫描管理芯片是广州周立功单片机科技有限公司自行设计。能够直接驱动12位共阴式数码管(或96只独立的LED),同时还可以扫描管理多达32个按键。其中8个按键可以作为功能键使用,就像电脑键...
-
-
-
-
21ic小能手 打赏5.00元 3天前
-
21ic小能手 打赏5.00元 3天前
-
21ic小能手 打赏5.00元 3天前
资料:2KW超声波电源设计
-
21ic小能手 打赏5.00元 3天前
-
21ic小能手 打赏10.00元 3天前
-
21ic小能手 打赏5.00元 3天前
-
21ic小能手 打赏5.00元 3天前
资料:八卦PCB图
-
21ic小能手 打赏5.00元 3天前
-
21ic小能手 打赏5.00元 3天前
-
21ic小能手 打赏5.00元 3天前
资料:扫码枪仿真和读取范例
-
21ic小能手 打赏5.00元 3天前
-
21ic小能手 打赏5.00元 3天前
-
21下载积分 打赏10.00元 3天前
用户:玉落彼岸
-
21ic下载 打赏310.00元 3天前
用户:小猫做电路
-
21ic下载 打赏310.00元 3天前
用户:zhengdai
-
21ic下载 打赏310.00元 3天前
用户:gsy幸运
-
21ic下载 打赏260.00元 3天前
用户:kk1957135547
-
21ic下载 打赏210.00元 3天前
用户:w178191520
-
21ic下载 打赏230.00元 3天前
用户:liqiang9090
-
21ic下载 打赏120.00元 3天前
用户:1111111ffgg
-
21ic下载 打赏25.00元 3天前
用户:sun2152
-
21ic下载 打赏30.00元 3天前
用户:WK520077778
-
21ic下载 打赏25.00元 3天前
用户:xzxbybd
-
21ic下载 打赏25.00元 3天前
用户:玉落彼岸
-
21ic下载 打赏15.00元 3天前
用户:xuzhen1
-
21ic下载 打赏20.00元 3天前
用户:yulei2633
-
21ic下载 打赏15.00元 3天前
用户:宸晨
-
21ic下载 打赏10.00元 3天前
用户:suguslly
-
21ic下载 打赏5.00元 3天前
用户:大大财迷
-
21ic下载 打赏5.00元 3天前
用户:丙丁先生
-
21ic小能手 打赏10.00元 3天前
-
21ic小能手 打赏5.00元 3天前
-
我来看看怎 打赏5.00元 3天前
用户:xzxbybd
-
21ic下载 打赏310.00元 3天前
用户:zhengdai
-
21ic下载 打赏310.00元 3天前
用户:gsy幸运
-
21ic下载 打赏310.00元 3天前
用户:小猫做电路
-
21ic下载 打赏270.00元 3天前
用户:liqiang9090
-
21ic下载 打赏210.00元 3天前
用户:w178191520
-
21ic下载 打赏210.00元 3天前
用户:kk1957135547
-
21ic下载 打赏110.00元 3天前
用户:w1966891335
入站必看
最新下载
用户贡献榜
- 本 周
- 本 月
- 本 年
-
jh03551 1237
-
小猫做电路 945
-
zhengdai 914
-
gsy幸运 812
-
kk1957135547 579
-
w178191520 527
-
liqiang9090 504
-
jh0355 334
-
1111111ffgg 105
-
WK520077778 38
资源最新评论
解压不了,不知道是不是少上传了资料么
hao
谢谢分享
谢谢分享
谢谢分享
和v不能