推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

DAC0832 接口电路VHDL逻辑程序

更新时间:2020-07-01 20:43:31 大小:21K 上传用户:xzxbybd查看TA发布的资源 标签:dac0832接口电路vhdl 下载积分:2分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

DAC0832 接口电路VHDL逻辑程序


--文件名:DAC0832.VHD

--功能:产生频率为762.9Hz锯齿波。

--最后修改日期:2004.3.18

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity DAC0832 is

  port(clk:in std_logic;                            --系统时钟

       rst:in std_logic;                           --复位信号

       ile:out std_logic;                          --数据锁存允许信号

      cont:out std_logic;                         --控制信号(WR1WR2CSXfer

  data_out:out std_logic_vector(7 downto 0));         --波形数据输出

  end DAC0832; 

architecture behav of DAC0832 is

signal q:integer range 0 to 63;                       --计数器

signal data:std_logic_vector(7 downto 0);             --波形数据

begin

process(clk)

begin

if rst='1' then q<=0;                               --复位,对计数器q清零

elsif clk'event and clk='1' then

   if q=63 then q<=0;                             --IF语句对系统时钟进行64分频

      if data="11111111" then data<="00000000";      --IF语句产生锯齿波波形数据

      else data<=data+1;

      end if;

   else q<=q+1;

   end if;

end if;

end process;

ile<='1';cont<='0';data_out<=data;                   --ilecont赋值;波形数据输出;

end behav;

 


部分文件列表

文件名 大小
DAC0832_接口电路VHDL逻辑程序.doc 21K

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载