您现在的位置是:首页 > 源码 > 数字频率计的VHDL程序
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

数字频率计的VHDL程序

更新时间:2014-07-04 14:47:44 大小:98K 上传用户:wtt1988查看TA发布的资源 标签:VHDL数字频率计 下载积分:2分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

--文件名:plj.vhd。 --功能:频率计。具有4位显示,能自动根据7位十进制计数的结果,自动选择有效数据的 --高4位进行动态显示。小数点表示是千位,即KHz。

部分文件列表

文件名文件大小修改时间
0ds7cINj.doc147KB2008-10-26 23:01:38
readme_verysource.com.txt1KB2011-08-12 15:27:24

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载