您现在的位置是:首页 > 个人中心 > xzxbybd的日志

上传资源列表

  • URAT串口 VHDL逻辑程序与仿真

    大小:86K 更新时间:2020-07-01 下载积分:2分

    URAT串口 VHDL逻辑程序与仿真1. 顶层程序与仿真(1)顶层程序--文件名:top.vhd。--功能:顶层映射。--最后修改日期:2004.3.24。library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE...

    标签:urat串口vhdl
  • TLC7524接口电路VHDL逻辑程序

    大小:23K 更新时间:2020-07-01 下载积分:2分

    TLC7524接口电路VHDL逻辑程序-文件名:TLC7524.VHD--功能:产生156.25KHz的正弦波。--最后修改日期:2004.3.18。library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsign...

    标签:tlc7524接口电路vhdl
  • DAC0832 接口电路VHDL逻辑程序

    大小:21K 更新时间:2020-07-01 下载积分:2分

    DAC0832 接口电路VHDL逻辑程序--文件名:DAC0832.VHD--功能:产生频率为762.9Hz的锯齿波。--最后修改日期:2004.3.18。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_log...

    标签:dac0832接口电路vhdl
  • 利用FPGA驱动LCD显示中文字符“年”的VHDL程序

    大小:32K 更新时间:2020-07-01 下载积分:2分

    利用FPGA驱动LCD显示中文字符“年”的VHDL程序。--文件名:lcd_driver.vhd。--功能:FGAD驱动LCD显示中文字符“年”。--最后修改日期:2004.3.24。library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARI...

    标签:fpga驱动lcd
  • VHDL程序实现数码管动态及静态显示逻辑

    大小:34K 更新时间:2020-07-01 下载积分:2分

    VHDL程序实现数码管动态及静态显示逻辑本节分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序。1. 例1:FPGA驱动LED静态显示--文件名:decoder.vhd。--功能:译码输出模块,LED为共阳接法。--最后修改日期...

    标签:vhdl
Displaying 9571-9575 of 10200 results.