您现在的位置是:首页 > 个人中心 > xzxbybd的日志

上传资源列表

  • FPGA CPLD设计Verilog例程 PS2键盘实验工程文件源码+说明文档

    大小:484K 更新时间:2020-07-01 下载积分:2分

    FPGA CPLD设计Verilog例程 PS2键盘实验工程文件源码+说明文档正如有键按下,通码就被发往计算机一样,只要键一释放,断码就会被发送。每个键都有它自己唯一的通码,它们也都有唯一的断码。幸运的是你不用总是通过查...

    标签:fpgacpldverilog
  • 基于单片机控制IGBT的研究

    大小:16K 更新时间:2020-07-01 下载积分:2分

     基于单片机控制IGBT中性点的研究                 ----8051单片机布尔代数指令的应用一、概述近年来煤矿生产事故频频发生,瓦斯爆炸事故越来越受到国家安全部门的重视。本设计以8051单片机为控制...

    标签:单片机igbt
  • CPSK调制与解调VHDL程序及仿真

    大小:113K 更新时间:2020-07-01 下载积分:4分

    --文件名:PL_CPSK--功能:基于VHDL硬件描述语言,对基带信号进行调制--最后修改日期:2004.3.16library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity P...

    标签:cpsk调制解调vhdl
  • 基于VHDL硬件描述语言对基带信号进行FSK调制VHDL程序

    大小:86K 更新时间:2020-07-01 下载积分:2分

    基于VHDL硬件描述语言对基带信号进行FSK调制VHDL程序--文件名:PL_FSK--功能:基于VHDL硬件描述语言,对基带信号进行FSK调制--最后修改日期:2004.3.16library ieee;use ieee.std_logic_arith.all;use ieee.std_logi...

    标签:vhdlfsk调制
  • ASK调制与解调VHDL逻辑程序及仿真

    大小:67K 更新时间:2020-07-01 下载积分:2分

    ASK调制与解调VHDL程序及仿真--文件名:PL_ASK--功能:基于VHDL硬件描述语言,对基带信号进行ASK振幅调制--最后修改日期:2004.3.16library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use iee...

    标签:ask调制解调vhdl
Displaying 9566-9570 of 10200 results.