您现在的位置是:首页 > 技术资料 > SystemVerilog_DPI
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

SystemVerilog_DPI

更新时间:2019-09-08 22:10:03 大小:10M 上传用户:sun2152查看TA发布的资源 标签:systemverilogdpi 下载积分:1分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

DPI:Direct Programming Interface An interface between System Verilog and a foreign programming language:C or C++

■Simple interface to Cmodels

·Allows SystemVerilog to call a C function just like any other native SystemVerilog function/task

·Variables passed directly to/from C/C++

·NO need to write PLI-like applications/wrappers

■Why DPI?

·SystemVerilog users have C/C++in designs and testbench that they want to reuse

·DPI easily connects C/C++ code to SV without the overhead or complexity of VPI/PLI

■Support both functions and tasks

.SystemVerilog can call C and C can call SV

DPI Vs. TLI

■TLI

· Transaction Level Interface in VCS for SystemC-

SystemVerilog transaction level co-simulation

· SystemC calling SystemVerilog interface functions/tasks for class methods

· SystemVerilog call SystemC interface methods

, Blocking or non-blocking

· Under the hood, TLI is implemented with DPl

. But easier to use

. Automatically synchronizes between the SystemC domain and SystemVerilog


部分文件列表

文件名 大小
SystemVerilog_DPI.pdf 10M

部分页面预览

(完整内容请下载后查看)

全部评论(0)

暂无评论