推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

rs232 incloudin of trx and testbench

更新时间:2014-03-11 09:55:16 大小:5K 上传用户:sungdiantsai查看TA发布的资源 标签:incloudintestbench 下载积分:2分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

rs232 incloudin of trx and testbench This zip file contains the following folders: \verilog_source -- Source Verilog files: uart.v -- top level file txmit.v -- transmit portion of uart rcvr.v -- receive portion of uart -- Source Verilog files: txmit_tf.v -- testbench for transmit portion of uart rcvr_tf.v -- testbench for receive portion of uart

部分文件列表

文件名文件大小修改时间
rcvr.v2KB2001-11-12 09:07:24
rcvr_tf.v1KB2000-04-15 14:22:40
readme.txt4KB2001-11-12 09:21:06
txmit.v2KB2000-04-14 16:38:56
txmit_tf.v1KB2000-04-14 16:45:54
uart.v1KB2000-01-27 12:29:02

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载