推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

基于MIPI+CSI-2协议的摄像头芯片数据发送端接口设计

更新时间:2020-03-20 18:13:59 大小:10M 上传用户:sun2152查看TA发布的资源 标签:mipi摄像头接口 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

随着手机摄像头和数码相机性能的提升,增加摄像头设备到平台处理器之间的传输带宽变越来越有必要,传统的DVP接口已经不能适应现在的科技发展。在这样的大形势下MIPI联盟应运而生,它制定了一个通用的标准来规范高性能移动终端的接口,而它的子协议MIPI CSI-2则完美的解决了摄像头设备与平台处理器之间高速通信的难题,提供了一种标准化、强大、可靠、低功耗的传输方式。MPI CSI-2接口采用差分信号线,确保了高速数据在传输时不易受到外界的干扰,而其采用的ECC编码和CRC编码则从一定程度上减少了个别错误数据对于整体数据的影响,又由于自身处于MIPI大家族协议之中,它自身也很容易兼容应用MIPI家族协议的其他设备。

本文详细的介绍了MIPI CSI-2协议数字部分RTL的实现,模拟部分的实现,以及后续的测试分析。在设计中RTL的设计、纠错以及模块的时序分析在Linux平台上进行。而模拟部分的实现以及整体的动态测试在FPGA平台上进行。通过这样的分工可以更全面的发挥两个平台的长处,更具体的来说,在Linux阶段的设计时充分的利用了modelsim与verdi配合的优势,从而更好的设计代码、分析代码和测试代码。而在综合时又利用Design Compile与Prime time充分的对设计做了资源分析和时序分析,保证了设计的质量。

而在FPGA阶段设计时,充分的利用了FPGA灵活而且可以动态测试的优势来验证模块的正确性,此外在FPGA上还可以使用商用接收端来接收最后产生的MIPI数据,这样的验证方法更权威也更有说服力。在设计方法上,在数字部分的RTL设计中充分的应用了模块化的思想,不仅实现了协议的要求,而且灵活的适应了MIPI CSI-2协议在实际应用时的一些变通的需求。而在模拟部分的物理层设计中则大胆的做了尝试和创新,成功的在没有先例参照的情况下自主设计了FPGA下的物理层部分,并且最后成功的被商用接收端验证。

总的来说在整个设计过程中遇到了阻碍和很多难题,但是经过不懈的努力最终克服了技术上的种种困难,最终也获得了阶段性的成果和自身的技术提高。


部分文件列表

文件名 大小
基于MIPI+CSI-2协议的摄像头芯片数据发送端接口设计.pdf 10M

全部评论(0)

暂无评论