- 1
- 2
- 3
- 4
- 5
KEY_4x4扫描键盘FPGAVerilog逻辑源码Quartus工程文件
资料介绍
KEY_4x4扫描键盘FPGAVerilog逻辑源码Quartus工程文件,FPGA为CYCLONE4系列中的EP4CE6E22C8. 完整的工程文件,可以做为你的学习设计参考。
module KEY_4x4
(
input sys_clk, //50MHZ
input sys_rst_n ,
input [3:0] key_row , //��
//output wire [3:0] key_col , //��
output reg [3:0] key_col , //��
output wire [7:0] LED , //��ʾ��ֵ
output reg [3:0] key_value //��ֵ
);
reg [5:0] count;//delay_20ms
reg [2:0] state; //״̬��־
reg key_flag; //������־λ
reg clk_500khz; //500KHZʱ���ź�
reg [3:0] key_col_reg; //�Ĵ�ɨ����ֵ
reg [3:0] key_row_reg; //�Ĵ�ɨ����ֵ
always @(posedge sys_clk or negedge sys_rst_n) begin
if (!sys_rst_n) begin
clk_500khz <= 0 ;
count<=0 ;
end
else begin
if ( count >= 50 ) begin
clk_500khz <= ~clk_500khz ;
count<=0;
end
else
count <= count + 1;
end
end
always @(posedge clk_500khz or negedge sys_rst_n)
if(!sys_rst_n) begin
key_col<=4'b0000;
state<=0;
end
else
begin
case (state)
0:
begin
key_col[3:0]<=4'b0000;
key_flag<=1'b0;
if(key_row[3:0]!=4'b1111) begin //�м����£�ɨ����һ��
state<=1;
key_col[3:0]<=4'b1110;
end
else
state<=0;
end
1:
begin
if(key_row[3:0]!=4'b1111) //�ж��Ƿ��ǵ�һ��
state<=5;
else begin
state<=2;
key_col[3:0]<=4'b1101; //ɨ���ڶ���
end
end
2:
begin
if(key_row[3:0]!=4'b1111) //�ж��Ƿ��ǵڶ���
state<=5;
else begin //ɨ��������
state<=3;
key_col[3:0]<=4'b1011;
end
end
3:
begin
if(key_row[3:0]!=4'b1111) //�ж��Ƿ��ǵ���һ��
state<=5;
else begin
state<=4;
key_col[3:0]<=4'b0111;
end //ɨ��������
end
4:
begin
if (key_row[3:0]!=4'b1111) //�ж��Ƿ��ǵ�һ��
state<=5;
部分文件列表
文件名 | 文件大小 | 修改时间 |
KEY_4x4/KEY_4x4.asm.rpt | 8KB | 2013-07-24 17:18:30 |
KEY_4x4/KEY_4x4.cdf | 1KB | 2013-07-24 17:18:00 |
KEY_4x4/KEY_4x4.done | 1KB | 2013-07-24 17:18:36 |
KEY_4x4/KEY_4x4.fit.rpt | 568KB | 2013-07-24 17:18:28 |
KEY_4x4/KEY_4x4.fit.smsg | 1KB | 2013-07-24 17:18:26 |
KEY_4x4/KEY_4x4.fit.summary | 1KB | 2013-07-24 17:18:26 |
KEY_4x4/KEY_4x4.flow.rpt | 25KB | 2013-07-24 17:18:34 |
KEY_4x4/KEY_4x4.jdi | 4KB | 2013-07-24 17:18:30 |
KEY_4x4/KEY_4x4.map.rpt | 203KB | 2013-07-24 17:18:16 |
KEY_4x4/KEY_4x4.map.summary | 1KB | 2013-07-24 17:18:16 |
KEY_4x4/KEY_4x4.pin | 20KB | 2013-07-24 17:18:26 |
... |
最新上传
-
21ic小能手 打赏10.00元 3天前
-
21ic小能手 打赏10.00元 3天前
-
cai0603 打赏3.00元 3天前
用户:CJQ_ENJOY
-
21ic小能手 打赏5.00元 3天前
-
21ic小能手 打赏10.00元 3天前
-
cai0603 打赏3.00元 3天前
用户:dongshao
-
21ic小能手 打赏5.00元 3天前
-
21ic小能手 打赏10.00元 3天前
-
21ic下载 打赏310.00元 3天前
用户:gsy幸运
-
21ic下载 打赏310.00元 3天前
用户:zhengdai
-
21ic下载 打赏310.00元 3天前
用户:小猫做电路
-
21ic下载 打赏310.00元 3天前
用户:liqiang9090
-
21ic下载 打赏270.00元 3天前
用户:kk1957135547
-
21ic下载 打赏160.00元 3天前
用户:w178191520
-
21ic下载 打赏160.00元 3天前
用户:w1966891335
-
21ic下载 打赏50.00元 3天前
用户:w993263495
-
21ic下载 打赏40.00元 3天前
用户:w993263495
-
21ic下载 打赏90.00元 3天前
用户:cooldog123pp
-
21ic下载 打赏30.00元 3天前
用户:sun2152
-
21ic下载 打赏40.00元 3天前
用户:xzxbybd
-
21ic下载 打赏40.00元 3天前
用户:铁蛋锅
-
21ic下载 打赏30.00元 3天前
用户:happypcb
-
21ic下载 打赏50.00元 3天前
用户:forgot
-
21ic下载 打赏10.00元 3天前
用户:xuzhen1
-
21ic下载 打赏20.00元 3天前
用户:wanglu6666
-
21ic下载 打赏5.00元 3天前
用户:人间留客
-
21ic下载 打赏5.00元 3天前
用户:jyxjiyixing
-
21ic下载 打赏5.00元 3天前
用户:akae_du
-
21ic下载 打赏5.00元 3天前
用户:ouyang_56
-
21ic小能手 打赏5.00元 3天前
-
21ic小能手 打赏5.00元 3天前
-
21ic小能手 打赏5.00元 3天前
-
21ic小能手 打赏5.00元 3天前
-
21ic小能手 打赏5.00元 3天前
-
xlhtracy 打赏10.00元 3天前
-
xlhtracy 打赏10.00元 3天前
-
21ic小能手 打赏5.00元 3天前
-
21ic小能手 打赏5.00元 3天前
-
xlhtracy 打赏5.00元 3天前
-
czmhcy 打赏1.00元 3天前
资料:bitboy
全部评论(0)