推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

基于FPGA的按键消抖实验Verilog逻辑源码Quartus工程文件+文档说明 程序实现按键按下后

更新时间:2021-04-13 10:28:51 大小:4M 上传用户:xzxbybd查看TA发布的资源 标签:fpgaverilogquartus 下载积分:9分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

基于FPGA的按键消抖实验Verilog逻辑源码Quartus工程文件+文档说明,程序实现按键按下后数字加 1,并在数码管上显示出来,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。

module key_debounce(

    input        clk,

    input        rst_n,

input        key1,

    output [5:0] seg_sel,

    output [7:0] seg_data

);

wire button_negedge; //Key falling edge

ax_debounce ax_debounce_m0

(

    .clk             (clk),

    .rst             (~rst_n),

    .button_in       (key1),

    .button_posedge  (),

    .button_negedge  (button_negedge),

    .button_out      ()

);


wire[3:0] count;

wire t0;

count_m10 count10_m0(

    .clk    (clk),

    .rst_n  (rst_n),

    .en     (button_negedge),

    .clr    (1'b0),

    .data   (count),

    .t      (t0)

);

wire[3:0] count1;

wire t1;

count_m10 count10_m1(

    .clk    (clk),

    .rst_n  (rst_n),

    .en     (t0),

    .clr    (1'b0),

    .data   (count1),

    .t      (t1)

);

//Count decoding

wire[6:0] seg_data_0;

seg_decoder seg_decoder_m0(

    .bin_data  (count),

    .seg_data  (seg_data_0)

);


wire[6:0] seg_data_1;

seg_decoder seg_decoder_m1(

    .bin_data  (count1),

    .seg_data  (seg_data_1)

);

seg_scan seg_scan_m0(

    .clk        (clk),

    .rst_n      (rst_n),

    .seg_sel    (seg_sel),

    .seg_data   (seg_data),

    .seg_data_0 ({1'b1,7'b1111_111}),

    .seg_data_1 ({1'b1,7'b1111_111}),

    .seg_data_2 ({1'b1,7'b1111_111}),

    .seg_data_3 ({1'b1,7'b1111_111}),

    .seg_data_4 ({1'b1,seg_data_1}),

    .seg_data_5 ({1'b1,seg_data_0})

);

endmodule 


image.pngimage.png


部分文件列表

文件名大小
06.按键消抖实验.pdf
06_key_debounce/
06_key_debounce/ax301_ax4010_base.tcl1KB
06_key_debounce/db/
06_key_debounce/db/.cmp.kpt
06_key_debounce/db/key_debounce.(0).cnf.cdb3KB
06_key_debounce/db/key_debounce.(0).cnf.hdb1KB
06_key_debounce/db/key_debounce.(1).cnf.cdb4KB
06_key_debounce/db/key_debounce.(1).cnf.hdb1KB
06_key_debounce/db/key_debounce.(2).cnf.cdb2KB
06_key_debounce/db/key_debounce.(2).cnf.hdb1KB
...

全部评论(0)

暂无评论