推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

FPGA的正弦信号发生器设计

更新时间:2019-01-19 00:33:11 大小:5M 上传用户:sun2152查看TA发布的资源 标签:fpga正弦信号发生器 下载积分:1分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

摘要:本设计结合了EDA技术和直接数字频率合成(DDS)技术。EDA技术是


现代电子设计技术的核心,是以电子系统设计为应用方向的电子产品自动化的设


计技术。DDS技术则是最为先进的频率合成技术,具有频率分辨率高、频率切换


速度快、相位连续、输出相位噪声低等诸多优点。


本文在对现有DDS技术的大量文献调研的基础上,提出了符合FPGA结构


的正弦信号发生器设计方案并利用MAXPLUSIⅡ软件进行了设计实现。文中介绍


了EDA技术相关知识,同时阐述了DDS技术的工作原理、电路结构,及设计的


思路和实现方法。经过仿真测试,设计达到了技术要求。


关键词:现场可编程门阵列(FPGA);直接数字频率合成(DDS);正弦波信


号发生器


部分文件列表

文件名 大小
基于FPGA的正弦信号发生器设计.pdf 5M

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载