推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

VHDL实现16位计数器(带源程序)

更新时间:2022-10-16 20:33:50 大小:238K 上传用户:jh03551查看TA发布的资源 标签:vhdl计数器源程序 下载积分:9分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

计数器是数字系统中用得较多的基本逻辑器件。它不仅能记录输入时钟脉冲的个数,还可以实现分频、定时、产生节拍脉冲和脉冲序列等。例如,计算机中的时序发生器、分频器、指令计数器等都要使用计数器。 计数器的种类很多。按时钟脉冲输入方式的不同,可分为同步计数器和异步计数器;按进位体制的不同,可分为二进制计数器和非二进制计数器;按计数过程中数字增减趋势的不同,可分为加计数器、减计数器和可逆计数器。

部分文件列表

文件名大小
db/
db/exp3.(0).cnf.cdb1KB
db/exp3.(0).cnf.hdb1KB
db/exp3.asm.qmsg2KB
db/exp3.cbx.xml
db/exp3.cmp.ecobp
db/exp3.cmp.kpt
db/exp3.cmp.rdb4KB
db/exp3.cmp0.ddb
db/exp3.cmp2.ddb
db/exp3.cmp_merge.kpt
...

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载