推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

Verilog HDL中按键消抖程序

更新时间:2016-08-04 17:17:41 大小:11K 上传用户:隐藏在水下面查看TA发布的资源 标签:VerilogHDL按键消抖程序 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

Verilog HDL中按键消抖程序,通过检测到按键的上升沿之后,再延时20ms之后再次检测是否还是高电平,如果还是高电平,则判定为按键按下。

部分文件列表

文件名 大小
1470302261按键消抖.docx 11K

全部评论(0)

暂无评论