推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

《基于VHDL的全数字锁相环的设计》

更新时间:2018-12-23 10:33:32 大小:164K 上传用户:huangtongyue查看TA发布的资源 标签:vhdl全数字锁相环 下载积分:0分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

 比较好的技术文章《基于VHDL的全数字锁相环的设计》有关键部分的源代码。

部分文件列表

文件名文件大小修改时间
VHDLDPLL.pdf183KB2006-09-20 16:51:42
www.pudn.com.txt1KB2007-01-22 19:53:18

全部评论(0)

暂无评论