您现在的位置是:首页 > 源码 > Xilinx FPGA高级设计及应用
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

Xilinx FPGA高级设计及应用

更新时间:2016-02-07 14:37:25 大小:6M 上传用户:Laspide查看TA发布的资源 标签:FPGA源代码 下载积分:0分 评价赚积分 (如何评价?) 收藏 评论(6) 举报

资料介绍

Xilinx FPGA高级设计及应用 配套源代码

部分文件列表

文件名文件大小修改时间
Reconfig/1.cpj10KB2009-03-23 10:17:46
Reconfig/11.prm1KB2009-02-16 10:29:02
Reconfig/11.sig1KB2009-02-16 10:29:02
Reconfig/asy_detector.vhd2KB2009-03-21 11:04:44
Reconfig/asy_fifo.asy1KB2009-02-09 10:33:44
Reconfig/asy_fifo.edn72KB2009-02-09 10:33:38
Reconfig/asy_fifo.ngo28KB2009-02-11 13:52:54
Reconfig/asy_fifo.sym1KB2009-02-09 10:33:44
Reconfig/asy_fifo.v4KB2009-02-09 10:33:44
Reconfig/asy_fifo.veo3KB2009-02-09 10:33:44
Reconfig/asy_fifo.vhd4KB2009-02-09 10:33:44
...

全部评论(6)

  • 2020-06-02 14:27:52mogutou

    不是PDF书籍。。。。。。。。。。。。。。。

  • 2020-03-27 14:13:37zhangqixin

    有用

  • 2019-09-11 08:25:34whoisilang

    想看看,但不知效果怎么样?

  • 2019-07-29 16:53:59xcfans

    这个是书籍配套的工程代码文件,不是pdf书籍! 代码是vhdl语言。

  • 2019-07-10 12:19:53iampxj

    有用处!