您现在的位置是:首页 > 源码 > VHDL实现正弦波程序
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

VHDL实现正弦波程序

更新时间:2011-10-20 07:08:37 大小:2M 上传用户:duqiheng861110查看TA发布的资源 标签:VHDL实现正弦波 下载积分:2分 评价赚积分 (如何评价?) 打赏 收藏 评论(1) 举报

资料介绍

VHDL实现正弦波程序,这是一个比较基本的程序。希望FPGA的应用中可以用到。

部分文件列表

文件名文件大小修改时间
shiyan6/zhengxuanbo.qpf1KB2010-05-02 15:02:40
shiyan6/zhengxuanbo.qsf9KB2010-05-04 22:25:28
shiyan6/db/cntr_gui.tdf3KB2010-05-02 15:09:44
shiyan6/db/zhengxuanbo.db_info1KB2010-05-02 15:02:40
shiyan6/db/zhengxuanbo.(41).cnf.hdb1KB2010-05-02 15:09:44
shiyan6/db/cmpr_5cc.tdf2KB2010-05-02 15:09:44
shiyan6/db/zhengxuanbo.map_bb.logdb1KB2010-05-04 21:58:22
shiyan6/db/zhengxuanbo.(42).cnf.cdb1KB2010-05-02 15:09:44
shiyan6/db/zhengxuanbo.(42).cnf.hdb1KB2010-05-02 15:09:44
shiyan6/db/zhengxuanbo.cbx.xml2KB2010-05-04 21:58:22
shiyan6/db/zhengxuanbo.hif71KB2010-05-04 21:58:22
...

全部评论(1)

  • 2017-05-10 16:48:48zzjcdj

    谢谢

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载