推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

VHDL编程语言的三个程序 (基于DE_2上实现,管脚已经绑好)

更新时间:2018-11-09 16:22:57 大小:952K 上传用户:Yuelengshi查看TA发布的资源 标签:vhdl编程语言 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

三个程序 (基于DE_2上实现,管脚已经绑好)  

ZDJSQ16:为16进制自动计数器,可在七段数码管上显示。

HELLO_5:   为在五个七段数码管显示hello字符,并向左循环。

HELLO_8:   为在八个七段数码管显示hello字符,并向左循环。



部分文件列表

文件名文件大小修改时间
VHDL语言/HELLO_5/CNT5.vhd1KB2018-11-04 21:02:06
VHDL语言/HELLO_5/CNT5.vhd.bak1KB2018-11-04 20:58:52
VHDL语言/HELLO_5/db/hello.(0).cnf.cdb1KB2018-11-04 21:02:10
VHDL语言/HELLO_5/db/hello.(0).cnf.hdb1KB2018-11-04 21:02:10
VHDL语言/HELLO_5/db/hello.(1).cnf.cdb2KB2018-11-04 21:25:54
VHDL语言/HELLO_5/db/hello.(1).cnf.hdb1KB2018-11-04 21:25:54
VHDL语言/HELLO_5/db/hello.(2).cnf.cdb3KB2018-11-04 21:23:02
VHDL语言/HELLO_5/db/hello.(2).cnf.hdb1KB2018-11-04 21:23:02
VHDL语言/HELLO_5/db/hello.(3).cnf.cdb1KB2018-11-04 21:23:02
VHDL语言/HELLO_5/db/hello.(3).cnf.hdb1KB2018-11-04 21:23:02
VHDL语言/HELLO_5/db/hello.(4).cnf.cdb1KB2018-11-04 21:23:02
...

全部评论(0)

暂无评论