推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

简单的四选一数据选择器。用Verilog HDL实验的

更新时间:2019-10-22 19:42:03 大小:26K 上传用户:zyf901126查看TA发布的资源 标签:数据选择器verilog hdl 下载积分:5分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

简单的四选一数据选择器。用Verilog HDL实验的

image.png

部分文件列表

文件名文件大小修改时间
mux4_to_1/mux4_to_1.cr.mti1KB2015-10-30 22:03:06
mux4_to_1/mux4_to_1.mpf83KB2015-10-30 22:02:52
mux4_to_1/mux4_to_1.v1KB2015-10-30 22:02:48
mux4_to_1/mux4_to_1.v.bak1KB2015-10-30 22:02:38
mux4_to_1/work/_info1KB2015-10-30 22:02:50
mux4_to_1/work/_lib.qdb48KB2015-10-30 22:02:50
mux4_to_1/work/_lib1_0.qdb32KB2015-10-30 22:02:50
mux4_to_1/work/_lib1_0.qpg8KB2015-10-30 22:02:50
mux4_to_1/work/_vmake1KB2015-10-30 22:02:50
mux4_to_1/work1KB2015-10-30 22:02:50
mux4_to_11KB2015-10-30 22:03:06

全部评论(0)

暂无评论