您现在的位置是:首页 > 教程 > 关于数字滤波的方法
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

关于数字滤波的方法

更新时间:2015-09-15 14:47:15 大小:750K 上传用户:zhouhuihui查看TA发布的资源 标签:dsp 下载积分:2分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

verilog设计实际例子, 可以帮助初学者快速的入门,不会有别的好处

部分文件列表

文件名 大小
verilog_FPGA实例.pdf 750K

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载