您现在的位置是:首页 > 源码 > verilog代码
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

verilog代码

更新时间:2011-12-27 11:25:31 大小:63K 上传用户:meihongpu查看TA发布的资源 标签:verilog代码 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

外经典verilog代码.

部分文件列表

文件名文件大小修改时间
国外经典Verilog代码/使用说明请参看右侧注释====〉〉.txt1KB2008-01-28 15:31:58
国外经典Verilog代码/例子.doc361KB2007-11-02 17:06:58
国外经典Verilog代码/国外经典Verilog代码/使用说明请参看右侧注释====〉〉.txt1KB2008-01-28 15:31:58
国外经典Verilog代码/国外经典Verilog代码/例子.doc361KB2007-11-02 17:06:58
国外经典Verilog代码/国外经典Verilog代码1KB2008-09-05 20:42:10
国外经典Verilog代码1KB2008-01-29 09:56:28

全部评论(0)

暂无评论