推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

基于verilog的实例代码

更新时间:2011-05-23 16:38:35 大小:184K 上传用户:bairan168查看TA发布的资源 标签:verilog代码 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

基于verilog的实例代码,希望对大家有所帮助。感兴趣的可以下来看看。。

部分文件列表

文件名文件大小修改时间
verilog实例/ADC_16bit.v4KB2005-09-02 19:08:48
verilog实例/ALL.V1KB2005-09-02 19:08:48
verilog实例/COMPARE.V1KB2005-09-02 19:08:48
verilog实例/DECODER1.V1KB2005-09-02 19:08:48
verilog实例/FIFO.V3KB2005-09-02 19:08:48
verilog实例/FIFO_2.V6KB2005-09-02 19:08:48
verilog实例/MUL16.V1KB2005-09-02 19:08:48
verilog实例/MUX8X8.V1KB2005-09-02 19:08:48
verilog实例/PLI.TAR19KB2005-09-02 19:08:48
verilog实例/RISC8.ZIP79KB2005-09-02 19:08:48
verilog实例/SHIFTER.V1KB2005-09-02 19:08:48
...

全部评论(0)

暂无评论