推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

FPGA的SPI串行外围接口接口设计 本科学生毕业设计论文

更新时间:2018-11-20 11:05:39 大小:391K 上传用户:18859275903查看TA发布的资源 标签:FPGAVerilogSPI协议ISE 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

随着专用集成电路(ASIC)设计技术的进步以及超大规模集成电路(VLSI)工艺技术的飞速发展,以及其价格的日益降低,采用FPGA编程的硬件电路来实现诸如SPI接口也日益切实可行,相对软件实现具有更好的优点。SPI接口是一种常用的标准接口,由于其使用简单方便且节省系统资源,很多芯片都支持该接口,SPI接口主要应用在EEPROMFLASH,实时时钟,AD转换器,还有数字信号处理器和数字信号解码器之间等等

由于SPI接口是一种事实标准,并没有标准协议,大部分厂家都是参照MotorolaSPI接口定义来设计的,但正因为没有确切的版本协议,不同厂家产品的SPI接口在技术上存在一定的差别,容易引起歧义,有的甚至无法互联(需要用软件进行必要的修改)。本文基于一种使用较为普遍的协议来进行设计,并参照Motorola公司的MC68HC11A8单片机中的SPI模块定义来设计的简化的SPI接口,用Verilog语言进行编写设计,并在ISE软件上进行设计仿真,并在基于Xlinx公司的Spartan-3E芯片的Digilent公司出品的Nexys2开发板上用在线逻辑分析仪chipscope进行板上调试验证观察结果,并完成实现功能,并具有一些独创性的设计。

 

关键词FPGA  Verilog  SPI协议  chipscope  ISE 



部分文件列表

文件名 大小
基于verilog的SPI设计.doc 391K

全部评论(0)

暂无评论