您现在的位置是:首页 > 源码 > verilog代码集锦.rar
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

verilog代码集锦.rar

更新时间:2010-07-06 17:21:34 大小:37K 上传用户:yimiqiba查看TA发布的资源 标签:verilog代码 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

verilog代码集锦,有需要的看看,对初学者很有价值的

部分文件列表

文件名文件大小修改时间
verilog代码集锦/bbs.eetzone.com.txt1KB2007-08-11 16:18:10
verilog代码集锦/verilog代码集锦/adder4.v1KB2008-10-19 21:33:52
verilog代码集锦/verilog代码集锦/adder4.v.bak1KB2008-10-19 20:27:44
verilog代码集锦/verilog代码集锦/adder_tp.v1KB2008-10-19 21:28:28
verilog代码集锦/verilog代码集锦/adder_tp.v.bak1KB2008-10-19 21:28:06
verilog代码集锦/verilog代码集锦/asynchronous_fsm.v.bak1KB2008-10-02 12:50:46
verilog代码集锦/verilog代码集锦/BCDcount60.v1KB2008-10-20 21:51:04
verilog代码集锦/verilog代码集锦/BCDcount60.v.bak1KB2008-10-20 21:50:46
verilog代码集锦/verilog代码集锦/bin27seg.v2KB2008-10-10 23:02:24
verilog代码集锦/verilog代码集锦/bin2bcd.v1KB2008-10-10 22:59:50
verilog代码集锦/verilog代码集锦/clk_gen.v1KB2008-10-04 12:46:10
...

全部评论(0)

暂无评论