您现在的位置是:首页 > 手册 > Verilog实例代码
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

Verilog实例代码

更新时间:2013-06-06 14:48:31 大小:618K 上传用户:肖北南查看TA发布的资源 标签:Verilog实例代码 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

Verilog实例代码,里面详细的讲解了一些简单,复杂的代码示例,即可以作为学习用,也可以作为开发参考

部分文件列表

文件名 大小
Verilog实例代码.pdf 618K

全部评论(0)

暂无评论