推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

Verilog编写的EPROM串行数据的读取模块

更新时间:2013-10-28 18:35:21 大小:47K 上传用户:dorisC查看TA发布的资源 标签:VerilogEPROM 下载积分:5分 评价赚积分 (如何评价?) 收藏 评论(1) 举报

资料介绍

实现了在EPROM中串行数据的写入和读取

部分文件列表

文件名文件大小修改时间
reading/reading.cr.mti1KB2013-10-28 16:00:44
reading/reading.mpf77KB2013-10-28 15:57:32
reading/reading.v3KB2013-10-28 15:43:16
reading/reading.v.bak3KB2013-10-28 15:33:18
reading/readingTop.v1KB2013-10-28 15:52:32
reading/readingTop.v.bak1KB2013-10-28 15:51:20
reading/vsim.wlf304KB2013-10-28 15:57:34
reading/work/@_opt/vopt1v0vyw2KB2013-10-28 15:52:44
reading/work/@_opt/vopt2n1nxs1KB2013-10-28 15:52:44
reading/work/@_opt/vopt3h2hwn1KB2013-10-28 15:52:44
reading/work/@_opt/vopt4c3cvj1KB2013-10-28 15:52:42
...

全部评论(1)

  • 2019-03-31 19:58:38mmymg

    感觉很实用,学习了不同的方法,与之前学习的进行了对比,学到了和更多的知识,谢谢。