您现在的位置是:首页 > 教程 > ispLEVER培训教程(FPGA).doc
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

ispLEVER培训教程(FPGA).doc

更新时间:2010-10-20 13:40:55 大小:13M 上传用户:hhzzhh0502查看TA发布的资源 标签:ispLEVERFPGA 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(1) 举报

资料介绍

ispLEVER 是Lattice 公司最新推出的一套EDA软件。提供设计输入、HDL综合、验证、器件适配、布局布线、编程和在系统设计调试。设计输入可采用原理图、硬件描述语言、混合输入三种方式。能对所设计的数字电子系统进行功能仿真和时序仿真。软件中含有不同的工具,适用于各个设计阶段。软件包含Synplicity公司的“Synplify”、Exemplar Logic公司的“Leonado”综合工具和Lattice的ispVM器件编程工具。ispLEVER软件提供给开发者一个有力的工具,用于设计所有莱迪思可编程逻辑产品。软件不仅支持所有Lattice公司的ispLSI 、MACH、ispGDX、ispGAL、GAL器件,还支持莱迪思新的FPGA、FPSC、ispXPGATM和ispXPLDTM产品系列。这使得ispLEVER的用户能够设计所有Lattice公司的业界领先的FPGA、FPSC、CPLD产品而不必学习新的设计工具。

部分文件列表

文件名 大小
ispLEVER培训教程(FPGA).doc 13M

全部评论(1)