推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

VHDL编写8位串行密码锁代码

更新时间:2010-12-14 16:33:14 大小:99K 上传用户:miley查看TA发布的资源 标签:VHDL8位编写 下载积分:2分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

用vhdl语言,在ZY11EDA13BE型实验箱, 实验了8位的串行密码锁的功能

部分文件列表

文件名文件大小修改时间
密码锁.doc159KB2010-12-14 16:38:30

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载