您现在的位置是:首页 > 源码 > verilog串口发送模块
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

verilog串口发送模块

更新时间:2014-03-31 16:14:29 大小:274K 上传用户:highman查看TA发布的资源 标签:verilog串口发送模块 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

用verilog语言详细描述了串口发送的各个模块,属于verilog的建模练习,包含了工程所有文件

部分文件列表

文件名文件大小修改时间
串口发送/control_module.v1KB2014-03-31 11:19:26
串口发送/db/add_sub_3nh.tdf4KB2014-03-31 11:36:04
串口发送/db/add_sub_7nh.tdf6KB2014-03-31 11:29:32
串口发送/db/add_sub_klh.tdf2KB2014-03-31 11:36:04
串口发送/db/add_sub_llh.tdf3KB2014-03-31 11:36:04
串口发送/db/mux_1ac.tdf2KB2014-03-31 11:36:04
串口发送/db/mux_hbc.tdf4KB2014-03-31 11:36:04
串口发送/db/prev_cmp_tx_module.asm.qmsg2KB2014-03-31 11:35:10
串口发送/db/prev_cmp_tx_module.fit.qmsg5KB2014-03-31 11:35:10
串口发送/db/prev_cmp_tx_module.map.qmsg14KB2014-03-31 11:35:10
串口发送/db/prev_cmp_tx_module.qmsg14KB2014-03-31 11:36:02
...

全部评论(0)

暂无评论