推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

基于FPGA的蜂鸣器播放音乐

更新时间:2013-09-26 00:00:53 大小:602K 上传用户:yaowg123456查看TA发布的资源 标签:FPGA 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

基于FPGA的蜂鸣器播放音乐,通过分频产生高低音,参照乐谱播放音乐

部分文件列表

文件名文件大小修改时间
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/altsyncram_4h71.tdf9KB2011-04-02 19:22:34
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/altsyncram_7e71.tdf9KB2011-04-02 19:10:02
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/altsyncram_tuv.tdf14KB2011-04-02 19:20:54
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/prev_cmp_PWM_MUSIC.asm.qmsg2KB2011-09-01 16:08:32
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/prev_cmp_PWM_MUSIC.fit.qmsg43KB2011-09-01 16:08:32
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/prev_cmp_PWM_MUSIC.map.qmsg18KB2011-09-01 16:08:32
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/prev_cmp_PWM_MUSIC.qmsg98KB2011-09-01 16:08:32
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/prev_cmp_PWM_MUSIC.tan.qmsg36KB2011-09-01 16:08:32
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.(0).cnf.cdb6KB2011-09-01 16:03:30
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.(0).cnf.hdb2KB2011-09-01 16:03:30
进阶实验_17_蜂鸣器 :蜂鸣器播放音乐/Quartus/db/PWM_MUSIC.(1).cnf.cdb1KB2011-04-02 19:22:34
...

全部评论(0)

暂无评论